OPTIMIZATION OF POWER USING CLOCK GATING

D.Nirosha, P.S.N.A. College of Engineering; T.Thangam ,

Flip-Flops, Gating logic, Strong Matching Forms etc

Clock gating is one among the most widespread circuit technique to scale back power consumption. Clock gating is sometimes done at the register transfer level (RTL).Automatic synthesis of clock gating in gate level has been less explored, however it's certainly additional convenient to designers. Clock gating consists of 2 steps: extraction of gating conditions by merging gating conditions of individual flip-flops, implementation of the gating conditions with minimum quantity of further gates. In this paper, they show a way to do factored form matching, within which gating operates in factored kinds are matched, as way as possible, with factored kinds of the mathematician functions of existing combinable nodes within the circuit; further gates are then introduced, however just for the portion of gating functions that don't seem to be matched. Sturdy matching identifies matches that are explicitly gift within the factored forms, and their matching seeks matches that are inexplicit to the logic and so are tougher to get. Gate-level clock gating starts with a net list, with partial or no gating applied; some flip-flops are then selected for further gating to reduce the circuit’s power consumption, and a gating logic of the smallest possible size must then be synthesized.
    [1] I. Han And Y. Shin, “Synthesis Of Clock Gating Logic Through Factored Form Matching,” In Proc. Int. Conf. Ic Design Tech., Jun. 2012,Pp. 1–4. [2] D. Chinnery And K. Keutzer, Closing The Power Gap Between Asic &Custom, Norwell, Ma, Usa: Kluwer, 2007. [3] S. Unger, “Double-Edge-Triggered Flip-Flops,” Ieee Trans. Comput.,Vol. 30, No. 6, Pp. 447–451, Jun. 1981. [4] R. Pokala, R. Feretich, and R. Mcguffin, “Physical Synthesis For Performance Optimization,” In Proc. Int. Asic Conf. Exhibit., Sep. 1992, Pp. [5] Power Compiler User Guide, Synopsys, Inc., Mountain View, Ca, Usa,Dec. 2010. [6] F. Theeuwen and E. Seelen, “Power Reduction through Clock Gating By Symbolic Manipulation,” In Proc. Symp. Logic Archit. Design, Dec. 1996, Pp. 184–191. [7] S. Kim, I. Han, S. Paik, And Y. Shin, “Pulser Gating: A Clock Gating Ofpulsed-Latch Circuits,” In Proc. Asia South Pacific Design Autom. Conf., Jan. 2011, Pp. 190–195.
Paper ID: GRDCF002102
Published in: Conference : International Conference on Innovations in Engineering and Technology (ICIET - 2016)
Page(s): 317 - 323